当前位置:网站首页 >  新闻动态 > 行业新闻

新闻动态
半导体设备行业研究:攻坚克难,国产量检测设备0~1突破
来源:   作者:苏州亚平电子有限公司  阅读:329次

一、半导体制造端“标尺”把关良率,全球百亿美元市场空间广阔
1、半导体量/检测设备贯穿制造全流程,前道占比 11%,全球百亿美元市场

半导体过程控制(量/检测)设备为集成电路生产过程中的核心设备之一,是保证芯片生 产良品率的关键。集成电路制造过程的步骤繁多,工艺极其复杂,仅在集成电路前道制程 中就有数百道工序。随着集成电路工艺节点的提高,制造工艺的步骤将不断增加,工艺中 产生的致命缺陷数量也会随之增加,因此每一道工序的良品率都要保持在几乎“零缺陷” 的极高水平才能保证最终芯片的良品率。量/检测设备主要用在晶圆制造和先进封装等环 节,主要以光学和电子束等非接触式手段,针对光刻、刻蚀、薄膜沉积、清洗、CMP、重 布线结构、凸点与硅通孔等环节进行检测。 根据 SEMI 报告,2022 年全球半导体设备销售额 1077 亿美元,同比增长 5%,中国大陆销 售额 283 亿美元,同比下滑 5%。其中全球前道晶圆制造设备占设备总市场约 85-87%,SEMI 预计前道晶圆制造设备销售额 2023 年下滑 22%至 760 亿美元,2024 年恢复性增长 21%至 920 亿美元。量/测设备在半导体前道制造设备价值量中占比约为 11%,是仅次于薄膜沉积、 光刻和刻蚀的第四大核心设备,其价值量显著高于清洗、涂胶显影、CMP 等细分领域设备。 量/测设备在半导体制造设备中占比较为稳定,根据 SEMI,2022 年全球量/检测设备市场 规模约 108 亿美元,中国大陆市场规模约为 32 亿美元。








从工艺上看,量/检测设备为检测(Inspection)和量测(Metrology)两大环节。根据 VLSI Research,市场份额分别占比 63%、34%。 检测指在晶圆表面上或电路结构中,检测其是否出现异质情况,如颗粒污染、表面划 伤、开短路等对芯片工艺性能具有不良影响的特征性结构缺陷; 量测指对被观测的晶圆电路上的结构尺寸和材料特性做出的量化描述,如薄膜厚度、 关键尺寸、刻蚀深度、表面形貌等物理性参数的量测。

从技术原理上看,检测和量测包括光学检测技术、电子束检测技术和 X 光量测技术等, 根据 VLSI Research、QY Research 统计市场份额占比分别为 75.2%、18.7%、2.2%。 光学检测技术基于光学原理,通过对光信号进行计算分析以获得检测结果,光学检测 技术对晶圆的非接触检测模式使其具有对晶圆本身的破坏性极小的优势;通过对晶圆 进行批量、快速的检测,能够满足晶圆制造商对吞吐能力的要求。在生产过程中,晶 圆表面杂质颗粒、图案缺陷等问题的检测和晶圆薄膜厚度、关键尺寸、套刻精度、表 面形貌的测量均需用到光学检测技术。 电子束检测技术通过聚焦电子束扫描样片表面产生样品图像以获得检测结果,通常用 于部分线下抽样测量部分关键区域。精度比光学检测技术更高,但速度相对较慢,适 用于部分晶圆的部分区域的抽检应用。X光量测技术基于X光的穿透力强及无损伤特性进行特定场景的测量,具有穿透性强, 无损伤的特点,在特定应用场景的检测具有优势,可以检测特定金属成分等。

2、量/检测设备细分种类众多

根据 VLSI Research 划分,全球量/检测设备共包含检测 6 类、量测 8 类共计 14 小类,是 半导体设备中细分种类最多的设备。不同的细分设备技术原理不尽相同,市场份额占比差 距大。检测设备主要以光学检测为主,包括图形晶圆检测、无图形晶圆检测、掩膜版缺陷 检测等设备。市场份额占比由高到低的为(纳米)图形晶圆缺陷检测、掩膜版缺陷检测、 无图形晶圆缺陷检测、电子束缺陷检测(复查)设备。量测设备同样使用光学、电子束和 X 光等检测手段,市场份额占比由高到低为关键尺寸量测(光学&电子束)、套刻精度量 测、薄膜量测(介质&金属)、X 光量测和三维形貌量测等。从半导体主要工艺环节看, 光刻、刻蚀、离子注入、CMP 等环节对量检、检测设备需求量较大。

量/检测设备的核心技术涉及光学检测技术、大数据检测算法及自动化控制软件等方面, 涵盖运动控制、光学、电气、精密加工、人工智能等多个学科,包括:激光、DUV/UV,可 见光,电子束,x 射线光学、高速数据处理, 高性能计算、人工智能算法, 机器学习, 机 器视觉,计算物理学,成像技术、精确的运动控制,机器人、宽带等离子体等。

3、下游产能扩张+工艺节点推进驱动量/检测行业持续发展

根据 SEMI《300mm 晶圆厂展望报告-至 2026 年》,预计 2023 年全球今年 300mm 晶圆厂设 备支出预计将下降 18%至 740 亿美元,2024 年将增长 12%至 820 亿美元,2025 年增长 24% 至 1019 亿美元,2026 年增长 17%至 1188 亿美元。对高性能计算、汽车应用的强劲需求和 对存储器需求的提升将推动支出增长。

主流半导体制程正从 28nm、14nm 向 10nm、7nm 发展,部分先进半导体制造厂商已实现 5nm 工艺的量产并开始 3nm 工艺的研发,三维 FinFET 晶体管、3D NAND 等新技术亦逐渐成为目前行业内主流技术。随着工艺不断进步,产品制程步骤越来越多,微观结构逐渐复杂, 生产成本呈指数级提升。为了获取尽量高的晶圆良品率,必须严格控制晶圆之间、同一晶 圆上的工艺一致性,因此对集成电路生产过程中的量/检测需求将越来越大。未来检测和 量测设备需在灵敏度、准确性、稳定性、吞吐量等指标上进一步提升,保证每道工艺均落 在容许的工艺窗口内,保证整条生产线平稳连续的运行。

所有芯片制造阶段都需要过程控制,过程控制的目的是为了提升良率和产能,研发和量产 的挑战主要体现在精确度和速度上。量/检测设备技术进步方向: 1)更高的光学检测空间分辨精度。目前先进的检测和量测设备所使用的光源波长已包含 DUV 波段,能够稳定地检测到小于 14nm 的晶圆缺陷,能够实现 0.003nm 的膜厚测量重 复性。检测系统光源波长下限进一步减小和波长范围进一步拓宽是光学检测技术发展的重 要趋势之一。提高光学系统的数值孔径也是提升光学分辨率的另一个突破方向,以图形晶 圆缺陷检测设备为例,光学系统的最大数值孔径已达到 0.95,探测器每个像元对应的晶 圆表面的物方平面尺寸最小已小于 30nm。为满足更小关键尺寸的晶圆上的缺陷检测,必 须使用更短波长的光源,以及使用更大数值孔径的光学系统,才能进一步提高光学分辨率。 2)提升检测速度和吞吐量。半导体量/检测设备是晶圆厂的主要投资支出之一,设备的性 价比是其选购时的重要考虑因素。量/检测设备检测速度和吞吐量的提升将有效降低集成 电路制造厂商的平均晶圆检测成本,从而实现降本增效。因此,检测速度和吞吐量更高的 检测和量测设备可帮助下游客户更好地控制企业成本,提高良品率。 3)大数据检测算法和软件重要性凸显。结合深度的图像信号处理软件和算法,在有限的 信噪比图像中寻找微弱的异常信号。晶圆检测和量测的算法专业性很强,检测和量测设备 对于检测速度和精度要求非常高,且设备从研发到产业化的周期较长。因此,目前市场上 没有可以直接使用的软件,企业均在自己的检测和量测设备上自行研制开发算法和软件, 未来对检测和量测设备相关算法软件的要求会越来越高。

二、量/检测设备种类丰富,技术原理不尽相同,行业壁垒高
1、检测设备:(纳米)图形晶圆缺陷检测占比最高,光学检测技术为主

在检测环节以光学检测为主,光学检测技术可进一步分为无图形晶圆检测技术、图形晶圆 成像检测技术和光刻掩膜板成像检测技术。少部分有图形晶圆缺陷检测和复查使用电子束 来检测。

1.1、有图形晶圆检测设备

图形化是指使用光刻或光学掩膜工艺来刻印图形,引导完成晶圆表面的材料沉积或清除。 有图形缺陷检测设备采用高精度的光学技术,对晶圆表面纳米及微米尺度的缺陷进行识别 和定位。针对不同的集成电路材料和结构,缺陷检测设备在照明和成像的方式、光源亮度、 光谱范围、光传感器等光学系统上,有不同的设计。 图形缺陷检测设备主要可分为明场缺陷检测和暗场缺陷检测两大类。明场缺陷检测设备, 采用等离子体光源垂直入射,入射角度和光学信号的采集角度完全或部分相同,光学传感 器生成的图像主要由反射光产生;暗场缺陷检测设备通常采用激光光源,光线入射角度和 采集角度不同,光学图像主要由被晶圆片表面散射的光生成。其皆通过对晶圆上的图形进 行成像后与相邻图像对比来检测缺陷并记录其位置坐标。








光学晶圆缺陷检测设备使用晶圆的旋转位置和光束的径向位置定义晶圆表面上缺陷的位置。在晶圆检测机台中,使用光谱仪检测器 PMT 或 CCD 以电子方式记录光强度,并生成晶 圆表面上散射或反射强度的图。该图提供了有关缺陷大小和位置以及缺陷的信息由于颗粒 污染等问题导致的晶圆表面的状况。

明场光学图形缺陷检测设备的供应商包括美国科磊半导体(39xx 系列及 29xx 系列)、 应用材料(UVision 系列),暗场光学图形缺陷检测设备的供应商包括科磊(Puma 系列)。

1.2、无图形晶圆检测设备

无图形晶圆检测是对于裸硅片和表面没有图形的晶圆的检测。一般用于在开始生产之前硅 片在硅片厂处获得认证,半导体晶圆厂收到后再次认证的检测过程,同时在生产过程中一 些用于对比及环境测量的控片挡片的检测。由于晶圆表面没有图案,因此无需图像比较即 可直接检测缺陷,其工作原理是将激光照射在圆片表面,通过多通道采集散射光,经过表 面背景噪声抑制后,通过算法提取和比较多通道的表面缺陷信号,最终获得缺陷的尺寸和 分离。无图形圆片表面检测系统能够检测的缺陷类型包括颗粒污染、凹坑、水印、划伤、 浅坑、外延堆垛、CMP 突起。一般来说暗场检测是非图案化晶圆检测的首选,因为可以实 现高速扫描,从而实现高的晶圆产量。主要供应商包括 KLA(Surfscan 系列)、Hitachi High-Tech(LS 系列)。

1.3、掩膜版缺陷检测设备

掩膜/光罩检测:掩模在使用过程中很容易吸附粉尘颗粒,而较大粉尘颗粒很可能会直接 影响掩模图案的光刻质量,引起良率下降。因此,在利用掩模曝光后,通常会利用集成掩 模探测系统对掩模版进行检测,如果发现掩模版上存在超出规格的粉尘颗粒,则处于光刻 制程中的晶圆将会全部被返工。针对光刻所用的掩膜板,通过宽光谱照明或者深紫外激光 照明,以高分辨率大成像口径的光学成像方法,获取光刻掩膜板上的图案图像,以很高的 缺陷捕获率实现缺陷的识别和判定。

1.4、电子束图形晶圆检测/复查设备

电子束成像也用于缺陷检测,尤其是在光学成像效果较低的较小几何形状中。电子束检测 动态分辨率范围比光学检测系统大。随着半导体集成电路工艺节点的推进,光学缺陷检测 设备的解析度无法满足先进制程需求,必须依靠更高分辨率的电子束设备。 电子束的原理为通过聚焦电子束对晶圆表面进行扫描,接受反射回来的二次电子和背散射 电子,进而将其转换成对应的晶圆表面形貌的灰度图像。通过比对晶圆上不同芯片(Die) 同一位置的图像,或者通过图像和芯片设计图形的直接比对,可以找出刻蚀或设计上的缺 陷。电子束检测的优势为可以不受某些表面物理性质的影响,且可以检测很小的表面缺陷, 如栅极刻蚀残留物等,相较于光学检测技术,电子束检测技术灵敏度较高,但检测速度较 慢,因此主要用于在研发环境和工艺开发中对新技术进行鉴定,以及光学检测后的复查, 对缺陷进行清晰地图像成像和类型的甄别。主要供应商包括 KLA(eDR7XXX 系列、eSL10 系 列)、AMAT(SEM VISION 系列)。

2、量测设备:技术复杂、关键尺寸量测占比高

在量测环节,光学检测技术基于光的波动性和相干性实现测量远小于波长的光学尺度,集 成电路制造和先进封装环节中的量测主要包括关键尺寸量测、薄膜膜厚量测、套刻精度量 测等,这三类量测环节在产业链中的应用如下:

2.1、关键尺寸(CD)量测

半导体制程中最小线宽一般称之为关键尺寸,其变化是半导体制造工艺中的关键。半导体 关键尺寸量测在半导体晶圆的指定位置测量电路图案的线宽和孔径。光学和电子束技术均 可用于关键尺寸测量,使用的设备分别光学关键尺寸测量设备(OCD,optical critical dimension)和扫描电子显微镜(CD-SEM) 目前基于衍射光学原理的非成像光学关键尺寸(OCD)测量设备为主要工具,它可以实现 对器件关键线条宽度及其他形貌尺寸的精确测量,并具有很好的重复性和长期稳定性。OCD 的用途比较广泛,可以测关键尺寸,还可以测单层或多层膜厚、深度甚至角度。OCD 是通 过收集到的反射光谱特征,来与模型中的数据库对比,得出光谱吻合度最高的数据,得到 相应特征数据的量测方式。








电子束关键尺寸量测设备的原理是通过入射电子轰击待测样品表面,表面原子吸收并激发 产生二次电子,通过收集到的二次电子,将探测到的物理信号转化为样品图像信息。 光学关键尺寸量测设备主要供应商包括 KLA(Spectra Shape 系列)、NanoMetrics、上 海睿励(TFX 3000)、上海精测(EPROFILE 300FD)。电子束关键尺寸扫描电子显镜(主 要供应商包括 Hitachi High-Tech、应用材料(VeritySEM5i)等。

2.2、套刻精度量测

套刻技术:多层高精细的版图一般都需要进行多次曝光才能制作完成,每一次曝光需要不同的掩膜版,在使用每一块掩膜版前都需要和之前经过曝光的图形进行精确对准,只有这 样才能保证每一层图形有正确的相对位置。套刻精度测量通常在每道光刻步骤后进行。 在半导体制造过程中,关键层的光学套刻对准直接影响了器件的性能、成品率及可靠性, 随着芯片集成度的增加,线宽逐渐缩小以及多重光刻工艺的应用,套刻误差需要更严格地 被控制,因此套刻误差测量也是过程工艺控制中最重要地步骤之一。其测量原理通常为通 过光学显微成像系统获得两层刻套目标图形的数字化图像,然后基于数字图象算法,计算 每一层的中心位置,从而获得套刻误差。主流供应商包括 KLA(Archer 系列)、ASML (Yield-Star 系列)。

2.3、膜厚量测

薄膜材料的厚度和物理常数量测设备:在半导体制造过程中,晶圆要进行多次各种材质的 薄膜沉积,因此薄膜的厚度及其性质(如折射率和消光系数)需要准确地确定,以确保每 一道工艺均满足设计规格。 在半导体制造过程中,晶圆要进行多次各种材质的薄膜沉积,因此薄膜的 厚度及其性质 会对晶圆成像处理的结果产生关键性的影响。膜厚测量环节通过精准测量每 一层薄膜的 厚度、折射率和反射率,并进一步分析晶圆表面薄膜膜厚的均匀性分布,从而 保证晶圆 的高良品率。膜厚测量可以根据薄膜材料划分为两个基本类型,即不透明薄膜和 透明薄 膜。业界内一般使用四探针通过测量方块电阻计算不透明薄膜的厚度;通过椭偏仪测量光 线的反射、偏射值计算透明薄膜的厚度。

三、国外寡头垄断市场,国产设备不断突破
1、国外寡头垄断市场,KLA 占比超过 50%

全球半导体设备市场目前处于寡头垄断局面,市场上美日技术领先,以应用材料 AMAT(美 国)、阿斯麦 ASML(荷兰)、拉姆研究 LAM Research(美国)、东京电子 TEL(日本)、 科磊半导体 KLA(美国)等为代表的国际知名半导体设备企业占据了全球市场的主要份额。 根据 CINNO Research 的统计,2022 年全球前十大半导体设备厂商均为境外企业,市场份 额合计超过 75%。

全球量/检测设备厂家中,KLA 一家独大。量测设备市场呈现出高度垄断的格局,根据 Gartner 数据 2021 年行业前 5 名分别为 KLA、AMAT、日立高新(Hitachi High-Tech)、 创新科技(Onto Innovation)、新星测量仪器(Nova Measuring),行业 TOP3 占据 75% 的市场份额。美国的 KLA 牢牢占据行业的龙头地位,市场占有率超过行业第二的四倍。 根据 Gartner,KLA 长期在半导体制造中过程控制业务领域份额超过 50%,2021 年以 54% 位列第一,是第二名竞争对手市场份额的 4 倍以上。尤其是在晶圆形貌检测、无图形晶圆 检测、有图形晶圆检测领域,KLA 在全球的市场份额更是分别高达 85%、78%、72%。








2、KLA:半导体量/检测设备全球龙头,一家独大

KLA 成立于 1976 年,总部位于美国硅谷,为半导体制造提供全方位的在线检测、量测和 数据分析,以及过程控制和良率管理的全方面解决方案和服务。截至 2022 财年末(2022 年 6 月 30 日),公司在全球 19 个国家和地区建立分部,员工人数约 1.4 万人。 2004-2015 财年,KLA 表现相对比较平稳,收入复合增速 3.3%,净利润复合增速 3.7%, 2016 财年开始进入快速成长期,2016~2022 财年收入复合增速 21%,净利润复合增速 30%, 2022 财年收入同比增速 33%,净利润复合增速提升至 60%。根据 KLA 的长期经营目标, 2022~2026 财年,公司收入复合增速目标为 9~11%。同时 KLA 的盈利能力持续提升,除 2008 财年外,近十几年 KLA 的毛利率长期维持在 60%左右的高位,净利率在 20%-30% 左右波动,2021-2022 财年净利率逐渐提升至 30%和 36%。分区域来看,中国大陆是 KLA 的第一大市场,2016-2022 财年 KLA 在中国大陆市场的销售额复合增速约 35%,显著高于 其在全球约 21%的复合增长率。

KLA 在持续创新、产品组合全面以及服务体系健全等竞争优势下稳居全球龙头位置。KLA 50年以来通过持续创新和并购领跑各种复杂尖端的量测技术,完善产品局部。半导体制程技 术日新月异,KLA 需要不断投入高额的研发费用用于开发新的量测设备。2012-2022 年 KLA 的研发支出占比一直在 10%以上,2021 年研发投入占比 15%,高达 9 亿美元,超过了行 业标准。公司构建的混合研发结构以客户为中心,进行跨产品线的核心技术创新。并购方 面,KLA 早期产品包括用于掩膜版光学检测设备 RAPID 系列、晶圆检测 WISARD 系列产 品,从 20 世纪 90 年代开始公司产品及解决方案由离线检测转向在线检测,1997 年 KLA 与 Tencor 两家半导体设备公司合并改名 KLA-Tencor,KLA 从此增加了半导体量测解决方案, 实现了量/检测设备细分领域的互补,奠定了在量检测设备领域的龙头地位。之后的 20 多年间,公司持续并购,标的基本覆盖了半导体量测检测领域的主要细分方向,不断整合 和获取行业资源与先进技术。

KLA 服务体系建设完善,2022 年设备服务收入占总营收的 21%。KLA 全球装机量近 6 万台, 超过 50%设备使用寿命达 18 年,平均使用寿命为 12 年,历史上交付的 80%的设备仍在客 户现场使用中,在完全折旧(2-3 倍)很长时间后,客户继续在生产中使用。半导体设备的 长使用寿命强化先发优势,加强与客户的长期绑定关系;服务类收入受益于长使用寿命将 不断增加,且受行业周期波动影响小。 量测设备龙头 KLA 在前道设备全球 5 大龙头企业中,表现出了相对更优秀的成长性和盈 利能力。AMAT、ASML、LAM Research、TEL 和 KLA 前五大前道设备龙头 2022 年收入相 较于 2015 年分别成长 175%、236%、223%、198%、268%。KLA 是五家中唯一一家自 2015 年以来持续成长的公司,营收的稳定性明显优于其余四家。从盈利能力来看,KLA 的毛利 率水平也显著高于其余 4 家。我们认为,这是由于量测设备相较于其他工艺设备,更受 益于工艺和技术节点进步的变化,同时细分种类更多,持续创新全面布局的公司更有机会 获得超额收益。








3、国内设备国产化率空间极大,产品覆盖率及制程先进程度差距大

中国大陆半导体设备海外依赖度高。2022 年全球前五的设备厂商中,除 ASML 外中国大陆 均为第一大客户。

国产量测检测设备公司产品线已涵盖了无图形晶圆缺陷检测设备、图形晶圆缺陷检测设备、三维形貌量测设备、薄膜膜厚量测设备和套刻精度量测设备等系列产品。在国内主要集成 电路制造厂商取得批量订单,打破了国外厂商的垄断,国产化进程加快将进一步助力公司 持续快速发展。同时,公司正在积极研发纳米图形晶圆缺陷检测设备、晶圆金属薄膜量测 设备等其他型号的设备,相关产品研发成功后有望进一步提高产品线覆盖广度。 国内量测设备主要厂家有中科飞测、上海睿励、上海精测、赛腾股份、东方晶源、埃芯半 导体、上海御微等,其部分产品已进入一线产线验证,推动量测设备国产化。国内外厂商 的差距: 1)产品覆盖度差距大,国内龙头的产品覆盖度为 27%,更多品类待开发和导入。量/检测 设备种类多,龙头公司通过自身持续创新和并购拥有很高的工艺覆盖率,全球占比 54%的 龙头美国公司 KLA 对于量测+检测产品线覆盖率达 85%以上,且几乎在每一个所涉产品线 中均市场份额最高;其他海外龙头如美国 AMAT、ONTO 等公司产品覆盖率也分别达到 50% 和 35%以上。 根据中科飞测招股说明书,公司产品线涵盖份额占比为 27%。同时中科飞测正在积极研发 纳米图形晶圆缺陷检测设备、关键尺寸量测设备等其他细分领域的机型,对应的市场份额 为 25%和 10%,研发成功后将提高产品线覆盖度。

1) 工艺节点上,国内企业目前仅能覆盖 28nm 及以上制程。国际竞争对手的先进产品普 遍能够覆盖 28nm 以下制程,国内产品已能够覆盖 28nm 及以上制程,应用于 28nm 以下制程的量/检测设备在研发中。 2022 年三大量/检测设备企业在本土市场份额合计 4%,国产化率较低。作为晶圆制造前道 设备中国产化率最低的设备之一,量/检测设备本土前三大厂商收入合计为 7.4 亿元,国 内市场份额占比仅为 4%。由于国外知名企业规模大,产品线覆盖广度高,品牌认可度高, 导致本土企业的推广难度较大。近年来国内企业在检测与量测领域突破较多,受益于国内 半导体产业链的迅速发展,该领域国产化率有望在未来几年加速提升。

四、国内设备厂商内生+外延快速发展
国内半导体处于高速增长期,本土企业存在较大的国产化空间。国内量测设备主要厂家有 中科飞测、上海睿励、上海精测、赛腾股份、东方晶源、埃芯半导体、南京中安等,其部 分产品已进入一线产线验证,推动量测设备国产化。

1、中科飞测:国内无图形晶圆检测龙头,部分型号可对标 KLA

中科飞测成立于 2014 年,目前在半导体量/检测设备收入体量上为国内龙头,主要产品包 括无图形晶圆缺陷检测、图形晶圆缺陷检测、三维形貌量测、薄膜膜厚量测等产品,已应 用于国内 28nm 及以上制程的集成电路制造产线,同时正在积极研发纳米图形晶圆缺陷检 测、晶圆金属薄膜量测等设备。公司 22 年实现营收 5.09 亿元,同比+41.2%;归母净利润 0.12 亿元,同比-78.0%。下游客户包含中芯国际、长江存储、士兰集科、长电科技、通 富微电等国内主流制造及封装厂。 公司多项研发产业化取得积极进展。2019 年,应用在集成电路前道领域的三维形貌量测 设备通过长江存储产线认证,2020 年,应用在集成电路前道领域的薄膜膜厚量测设备通 过士兰集科产线验证,2021 年,无图形晶圆缺陷检测设备通过国家科技重大专项验收等。 目前,公司正在积极研发纳米图形晶圆缺陷检测设备、晶圆金属薄膜量测设备等其他型号 的设备。公司目前在研项目数量较多,长期重视研发为公司发展建立了长期壁垒,后续新 产品研发成功并客户导入后,有望为公司打开长期发展天花板。








2022 年末公司合同负债 4.8 亿,存货中发出商品 4.3 亿,在手订单充足。公司 21/22 年 合同负债为1.6/4.8亿元,同比+384%/+217%,发出商品为2.4/4.3亿元,同比+425%/+76%, 在手订单充沛且销售强劲,快速成长动力足。 公司作为以研发为驱动的半导体设备企业,公司研发费用占营业收入比重高于同行业可比 公司,2022 年研发费用占营收比例 40%。半导体设备行业为技术密集型行业,公司竞争力 与研发实力密不可分,公司持续吸引行业内优秀人才,研发人员数量快速增长,2019-2023 年研发人员占总人数比例维持在 43%上下。

2、精测电子:前道量/检测设备订单爆发性增长

公司深耕检测行业 17 年,已成为国内平板显示检测龙头,2018 年以来公司积极局部平板 显示/半导体/新能源三大业务。半导体设备成功供货中芯国际、长江存储等国内龙头客户。 公司全面布局半导体前后道量检测环节,膜厚、OCD 测量、电子束、明场检测等设备已进 市场, 2022 年公司半导体设备业务实现收入 1.83 亿元,同比增长 34.12%。截至 2023 年 4 月 24 日,半导体业务在手订单 8.91 亿元,前道设备业务爆发。公司半导体业务经 过前期积累,在研发能力、产品力、客户等方面已占先机,将成为国产化主力。

公司子公司上海精测前道检测产品覆盖度进一步提升,半导体硅片应力测量设备也取得客 户订单并完成交付,明场光学缺陷检测设备已取得突破性订单,且已完成首台套交付;其 余储备的产品目前正处于研发、认证以及拓展的过程中。

2022 年下半年面板价格触底,23 年价格持续修复,部分型号修复至现金成本线之上,稼 动率环比亦有提升。公司受益于 OLED、Mini、Micro LED 等新技术路线以及由 Module、 Cell 拓展至前段 Array,面板业务仍有望实现平稳增长,24 年苹果新机 MR 有望带来新的 面板检测需求。 新能源方面,精测公司聚焦中后道工序,其中化成分容已批量出货,切叠一体机已获认证 通过,同时布局锂电池视觉检测系统、电芯装配线和激光模切机等新品,与中创新航签署 战略合作伙伴协议,受益于其持续扩产。公司 2022 年公司新能源设备实现收入 3.4 亿元, 截至 2023 年 4 月 23 日在手订单新能源订单 4.8 亿元。

3、赛腾股份:收购 Optima 进军半导体晶圆缺陷检测领域

赛腾股份是国内消费电子设备龙头企业,通过外延并购将主营业务拓展至半导体、新能源 汽车等行业。2022 年公司实现营收 29.34 亿元,同比+26.55%。实现净利润 2.93 亿元, 同比增长 63.5%。 半导体设备:赛腾股份 2018 年通过收购无锡昌鼎,进入半导体封测设备领域。2019 年通 过收购日本 Optima,进入晶圆检测设备领域。无锡昌鼎主要生产测试编带一体机、全自 动组焊线机、自动打标机等半导体封装测试设备;Optima 拳头产品包括 RXW-1200、 RXM-1200、BMW-1200(R)、AXM-1200 四大类,产品覆盖边缘、背面、正面等缺陷检测,是 全球领先的硅片、晶圆外观缺陷检测设备龙头公司。 目前产品主要是无图形晶圆检测设备,已成功进入 SUMCO、SK、SUMSUNG、协鑫、奕斯伟、 中环、金瑞泓、沪硅等国内外龙头厂商,今年有望在国内晶圆厂有所突破,同时有图形晶圆检测设备正在稳步研发中。2022 年半导体设备板块营收达 3.75 亿元、同比增长 73%。








消费电子设备:受益北美大客户 2023 年新机搭载潜望式摄像头及 MR 新产品,2023 年有 望快速增长。公司将持续受益于北美大客户三大边际变化:2023 年新机搭载潜望式摄像 头带来设备增量需求,MR 新品即将发布带来的设备增量需求,以及产能向东南亚迁移带 动的设备增量需求。 新能源汽车设备:下游新能源车高景气度且公司充分绑定龙头客户。2018 年公司收购菱 欧科技(现更名为赛腾菱欧),切入汽车零部件智能装备行业。主要客户为日本电产、村 田新能源、松下能源等。

4、睿励仪器:中微公司持股 30%,国内最早的量测设备公司

睿励科学仪器(上海)有限公司成立于 2005 年(中微公司持股 29.36%,是上海睿励的第 一大股东),目前公司拥有的主要产品包括光学薄膜测量设备、光学关键尺寸测量设备、 缺陷检测设备。睿励科学仪器是国内少数进入国际先进制程 12 英寸生产线的量测设备企 业之一,是国内唯一进入三星存储芯片生产线的量测设备企业。 目前,睿励的膜厚测量,缺陷检测及光学关键尺寸测量设备已为国内近 20 家前道半导体 晶圆制造客户所采用,公司光学膜厚测量设备已应用在 65/55/40/28 纳米芯片生产线,并 正在进行 14nm 工艺验证;设备支持 64 层 3DNAND 芯片的生产,并正在 96 层 3DNAND 芯片 产线上进行工艺验证。

5、天准科技:收购 MueTec:检测量测产品宽度广,掩膜版等领域填补空白

天准科技于 2021 年 5 月以 1819 万欧元完成收购德国 MueTec 公司 100%股权。MueTec 的主 要产品为高精度的光学测量和检测解决方案, 2021 年营业收入仅为 0.4 亿元。MueTec 深耕检测量测行业三十余年,重点覆盖 65nm 及以上制程,具备较宽产品线并为多行业提 供产品解决方案。MueTec 的主要产品包括晶圆宏观缺陷检测、晶圆微缺陷检测、掩膜版 检测、红外线检测等检测设备,以及关键尺寸量测、套刻精度量测、薄膜膜厚量测、掩膜 版量测、红外线量测等量测设备,其中掩膜版、套刻精度等产品在国内供应中均具备稀缺 性。MueTec 主要服务于晶圆制造、先进封装、光掩模版、MEMS 传感器、电子元件、OLED、 LED 等多个先进制造领域,主要客户包括英飞凌、恩智浦、台积电等。


发布时间:2024/2/3  【打印此页】  阅读:329次